BJ-EPM CPLD入門套件VHDL例程1
所屬分類:源代碼
上傳者:ilove314
文檔大小:0 K
標簽: CPLD
所需積分:0分積分不夠怎么辦?
文檔介紹:library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity CLKDIV is port ( Clk : in STD_LOGIC; --50MHz輸入時鐘 Rst_n : in STD_LOGIC; --低電平復位信號 Clk_div : out STD_LOGIC --分頻信號,連接到蜂鳴器 ); end entity CLKDIV; --20bit計數器循環計數 architecture COUNTER OF CLKDIV is signal cnt20b : STD_LOGIC_VECTOR (19 downto 0); --20bit計數器 begin process (Clk,Rst_n) begin if Rst_n = '0' then cnt20b <= x"00000"; elsif Clk'event AND Clk = '1' then cnt20b <= cnt20b+"1"; --分頻計數 end if; end process; Clk_div <= cnt20b(19); --分頻賦值 end architecture COUNTER;
現在下載
VIP會員,AET專家下載不扣分;重復下載不扣分,本人上傳資源不扣分。
主站蜘蛛池模板: 欧美性极品hd高清视频| 久久国产劲暴∨内射新川| 999影院成人在线影院| 欧美日韩精品一区二区三区高清视频 | gogo高清全球大胆高清| 皇上往下边塞玉器见客| 女同久久另类99精品国产| 亚洲午夜在线一区| 青草资源视频在线高清观看| 手机看片国产在线| 你是我的城池营垒免费看| 4hu44四虎在线观看| 成人黄色电影在线观看| 亚洲麻豆精品果冻传媒| 三级网站免费观看| 毛片视频网站在线观看| 国产精品制服丝袜一区| 亚洲妇熟xxxx妇色黄| 美女教师一级毛片| 夜色私人影院永久入口| 亚洲va久久久噜噜噜久久天堂 | 日本边添边摸边做边爱边| 农村妇女色又黄一级毛片不卡| 97色伦图片7778久久| 新梅瓶1一5集在线观看| 亚洲av日韩精品久久久久久久| 澳门开奖结果2023开奖记录今晚直播视频| 国产a毛片高清视| 999久久久免费精品播放| 成人男女网18免费视频| 久久精品综合电影| 精品久久久久国产| 国产精品美女久久久| 一级毛片在线完整免费观看| 日韩亚洲欧美性感视频影片免费看| 别揉我的胸~啊~嗯~| 韩国色三级伦不卡高清在线观看| 性欧美大战久久久久久久| 久久婷婷五月综合97色一本一本 | 亚洲av永久无码精品天堂久久| 永久免费av无码网站大全|